SystemVerilog|【inside】右辺に左辺が含まれるか

Systemverilog
田中太郎
田中太郎

insideの使い方についてまとめます

使い方

<要素>が<要素群>に含まれていれば1、それ以外は0を返す

<要素> inside <要素群>

サンプルコード

sample1.sv
module sample;
    int a[$];
    initial begin
        a = {1, 2, 3};
        if (1 inside {a})
            $display("1");
        $finish;
    end
endmodule
// 出力
// 1
sample2.sv
module sample;
    string b[$];
    initial begin
        b = {"one", "two", "three"};
        if ("one" inside {b})
            $display("one");
        $finish;
    end
endmodule
// 出力
// one
sample3.sv
module sample;
    initial begin
        if ("one" inside {"one", "two", "three"})
            $display("one");
        $finish;
    end
endmodule
// 出力
// one
sample4.sv
module sample;
    string d[$] = {"one", "two", "three"};
    initial begin
        if ("four" inside {d, "four"})
            $display("four");
        $finish;
    end
endmodule
// 出力
// four

まとめ

insideについてまとめました

コメント

タイトルとURLをコピーしました