SystemVerilog|動的配列を使用する

Systemverilog
田中太郎
田中太郎

動的配列を使ってみます

動的配列とは

配列を作成するとき、要素数は定義時に決定します

int data[<要素数>];

動的配列はシミュレーション中に要素数を決定できます

data = new[<要素数>];

配列の要素数を所得できます

data.size();

使い終わったら削除できます

data.delete();

サンプルコード

動的配列を作成して、配列数を表示します

module tb;
    int data[];
    initial begin
        data = new[10]; // 動的配列を作成する
        $display("%d", data.size()); // 要素数、10を返す
        data.delete(); // 動的配列を削除します
        $display("%d", data.size()); // 空なので0を返す
        $finish;
    end
endmodule

まとめ

動的配列を使用しました

コメント

タイトルとURLをコピーしました