SystemC

スポンサーリンク
SystemC

階層構造を出力する SystemC

はじめに デバッグ用にSystemCの階層構造(hierarchy)をprintfする関数を作成しました。 解説 print_hierarhy()を実行することで、インスタンスされているモジュール、ポート、スレッドを出力します。 print...
SystemC

SC_METHOD、SC_THREAD、SC_CTHREAD SystemC

はじめに SystemCでは3つのタイプのスレッドを持ちます。それぞれの違いについて説明します。 sc_method SC_METHODマクロを使用して定義します。通常のC関数と同じ動作をします。 SC_METHOD(<method>)の後...
SystemC

インスタンス SystemC

はじめに SystemCで階層設計(インスタンス)を行います。 サンプルコード topがdffというモジュールをインスタンスするサンプルコードです。 sample.cpp #include <systemc.h> // D-FFを宣言 SC...
SystemC

D-FFを作成する SystemC

SystemCでD-FF(Dフリップフロップ)を作成します。 dff.cpp #include <systemc.h> SC_MODULE(DFF) { // ポート宣言 sc_in_clk clk; // クロック入力 sc_in<boo...
SystemC

SC_MODULEでサンプル回路を作成する SystemC

SystemCの勉強がてらSC_MODULEを使ったサンプルコードを作成しました。 簡単な回路で、Producerがデータを送信してConsumerでデータを受け取って標準出力させます。 #include <systemc.h> SC_MO...
SystemC

LinuxでSystemCの環境を作成する

はじめに Linux環境(CentOS7)でSystemC 3.0の環境を作成します。 gccが/usr/local/binにインストールされていること、bashを利用していること前提です。 手順 任意のディレクトリに移動してSystemC...
スポンサーリンク