$display RTLの階層を表示する Verilog

はじめに

$displayなどで変数の値をログに表示することができますが、その他にも色々な値を表示できます。

Format %mを使用することで、現在の階層を取得することができます。

使い方

$display("%m");

サンプルコード

module top;
    initial begin
        #1;
        $finish;
    end
    sub i_sub();
endmodule
module sub;
    initial begin
        $display("%m");  // 出力:top.i_sub
    end
endmodule

その他のFormatの紹介

$display("%d", 10);  // 10進数
$display("0b%4b", 10);  // 2進数
$display("0o%4o", 10); // 8進数
$display("0x%4h", 10); // 16進数
$display("real %e", 10.1);  // 実数型(1.01e+1)
$display("real %f", 10.1);  // 実数型(10.100)
$display("real %g", 10.1);  // 実数型(10.1)
$display("str %s", "abc");  // 文字列型(abc)
$display("hier %m");  // 階層
$display("time %t", $time);  // シミュレーション時間

まとめ

$displayで現在の階層を表示しました。

コメント

タイトルとURLをコピーしました