Systemverilog

スポンサーリンク
Systemverilog

VerilogでJSONフォーマットを読み込む

はじめに VerilogでJSONフォーマットを読み込みます。 JsonのパースにはJSON11を使用します。JSON11はC++なので、VPIでVerilogとデータのやり取りを行います。 サンプルコード まずはCコードを作成します。JS...
Systemverilog

VPIの計算速度 Verilog

はじめに VPIを使うことで演算をC側で行うことができます。 計算回数や計算量によって実行時間がどうなるか気になったので試してみます。 実行時間の比較 VerilogとCで実行時間を比較します。演算は下記のような任意の値に足し算するだけのも...
Systemverilog

VPIで乗算器を作成する Verilog

はじめに VPIで2入力、1出力の乗算器を作成します。 環境はIcarus Verilogです。 作り方 乗算するCコードを作成します。 my_mult.cpp #include "vpi_user.h" // Task int my_mu...
Systemverilog

VPIを使ってみる Verilog

はじめに VPI(Verilog Procedural Interface)を試します。 環境はIcarus Verilogです。 Cコードの作成 vpi_user.hをインクルードしてHello Worldを表示するSystemタスクを作...
Systemverilog

矩形波を作成する SV-RNM

はじめに SystemVerilog-RNMで矩形波(方形波)を作成します。 サンプルコード パラメータts:サンプリング時間step:tsあたりの変化値max:最大値min:最小値stable:Max/Minの期間(ts単位) `time...
Systemverilog

三角波を作成する SV-RNM

はじめに SystemVerilog-RNMで三角波を作成します。 サンプルコード パラメータts:サンプリング時間step:tsあたりの変化値max:最大値min:最小値 `timescale 1us/1us module top; //...
Systemverilog

Gtkwaveでアナログ波形を表示する

適当は波形ファイルを用意する real型で宣言したdataの波形です。 アナログ波形を表示 dataを左クリックしてData Format->AnalogでOff以外を選択します。 アナログ波形が表示されます 補足 左クリックしてInser...
Systemverilog

sin/cos波を作成する SV-RNM

はじめに SystemVerilog-RNMでsin/cos波を作成します。 サンプルコード `timescale 1us/1us module top; time ts = 1ms; // サンプリング間隔 real f = 60.0; ...
Systemverilog

BitMapを作成する SystemVerilog

はじめに SystemVerilogでビットマップを作成します。 グレースケール(ビットの深さ8bit)、カラー(ビットの深さ24bit)両対応版です。 サンプルコード bmp_typedef.svh typedef用のヘッダーファイルです...
Systemverilog

BitMapを作成する(カラー) SystemVerilog

はじめに SystemVerilogでビットマップを作成します。 カラー画像版です。 サンプルコード bmp.svh `ifndef _BMP `define _BMP package bmp; typedef bit BYTE; type...
スポンサーリンク