【IcarusVerilog】iverilogのコマンドラインオプション

田中太郎
田中太郎

IcarusVerilogのコンパイルコマンド「iverilog」のよく使うコマンドラインオプションを紹介します

iverilogのコマンドラインオプション

オプション内容
-o <File名>コンパイル済みファイルの名前を(デフォルトでa.out)を<File名>で指定した名前にする
-I <Path>インクルードファイルが存在するディレクトリを指定します
-g <Flag>verilogのバージョンを指定してコンパイルさせます
-g 2012でSystemVerilogの記述に対応します
-s <Top module>トップモジュールを明示的に指定します
デフォルトではどのモジュールからも呼び出されていないものがトップと認識されます
-W <Flag>どのワーニングを出すかを指定します
-W allですべてのワーニングがレポートされます

詳細

-o <File名>

コンパイルが成功したときにできるファイル(デフォルトだとa.out)を好きな名前に変更します

例)

iverilog sample.v -o sample.out
# sample.outが生成される

-I <Path>

インクルードファイルがあるディレクトリを指定します

例)

iverilog sample.v -I /usr/local/path/include_dir/

-g <Flag>

コンパイラが対応するVerilogのバージョンを指定します
SystemVerilogの記述を使うときは-g 2012を指定すれば大丈夫です

例)

iverilog sample.sv -g 2012

-s <Top module>

トップモジュール名を指定します
デフォルトではどのモジュールからもインスタンスされていないモジュールがトップモジュールと認識されます

例)

iverilog sample1.v sample2.v -s sample1

-W <Flag>

コンパイル時にワーニングの有効/無効を指定します
-W allですべてのワーニングを有効にできます

例)

iverilog sample.v -W all

コメント

タイトルとURLをコピーしました