UVM uvm_config_dbでsetした値をログに表示する

uvm_config_db#()::dump();

完結に、uvm_config_db#(<type>)::set()で登録した値は

uvm_config_db#()::dump();

でログに表示されます。<type>は指定してもしなくても、すべての<type>が表示されます。

サンプルコード

top.sv
interface if_t;
endinterface

module top;
    `include "uvm_macros.svh"
    import uvm_pkg::*;

    uvm_object a;
    string b = "hoge";
    int c = 10;
    int c;
    if_t d();
    initial begin
        uvm_config_db#(uvm_object)::set(null, "*", "obj", a);
        uvm_config_db#(string)::set(null, "one", "str", b);
        uvm_config_db#(int)::set(null, "two", "val", c);
        uvm_config_db#(virtual if_t)::set(null, "*three*", "vif", d);
        uvm_config_db#()::dump();
    end
endmodule
実行結果
obj [*] : class uvm_pkg::uvm_object null
str [one] : string "hoge"
val [two] : int 10
vif [*three*] : uvm_pkg::T top.d

まとめ

uvm_config_dbでsetした値を表示しました。

コメント

タイトルとURLをコピーしました